Quantcast
Channel: electrofriends.com » Digital Electronincs
Browsing latest articles
Browse All 10 View Live

Image may be NSFW.
Clik here to view.

Verilog HDL Program for Ring Counter

Verilog HDL Program for Ring Counter. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 module dff(q,d,c); output q; input d,c; reg q; initial q=1'b1; always @ (posedge c) q=d;...

View Article



Image may be NSFW.
Clik here to view.

Verilog HDL Program for Johnson Counter

Verilog HDL Program for Johnson Counter. 1 2 3 4 5 6 7 8 9 module johnson(q,clk); output [3:0]q; input clk; not (qc,q[0]); dff u1(q[3],qc,clk); dff1 u2(q[2],q[3],clk); dff1 u3(q[1],q[2],clk); dff1...

View Article

Image may be NSFW.
Clik here to view.

Verilog HDL Program for Mod-13 Counter

Verilog HDL Program for Mod-13 Counter. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 module mod13(qo,clk); output [3:0]qo; input clk; inv u1 (qd,q3); inv u2(qc,q2); and1 u3 (a,q1,q0,q2); and1...

View Article

Image may be NSFW.
Clik here to view.

Verilog HDL Program for Decade Counter

Verilog HDL Program for Decade Counter. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 module mod10(qo,clk); output [3:0]qo; input clk; inv u1(qc,q3); inv u2(qb,q1); inv u3(qa,q0); and1...

View Article

Image may be NSFW.
Clik here to view.

Verilog HDL Program for Serial Parallel Multiplier

Verilog HDL Program for Serial Parallel Multiplier. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 module spm(s,m,q); output [7:0]s; input [3:0]m,q; and1...

View Article


Image may be NSFW.
Clik here to view.

Verilog HDL Program for Counting Number of 1’s in a Vector

Verilog HDL Program for Counting Number of 1’s in a Vector. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 module count1(o1,o0,i); // 4-bit vector output [2:0]o1,o0; // o1: Number of 1’s in vector, o0:...

View Article

Image may be NSFW.
Clik here to view.

Verilog HDL Program for Carry Save Adder

Verilog HDL Program for Carry Save Adder. 1 2 3 4 5 6 7 8 9 10 11 12 13 module carrysave(s,c,a,b); output [3:0]s; output c; input [3:0]a,b; wire [2:0]c2,c0; fa u1(s[0],c0[0],a[0],b[0],1'b0); dff1...

View Article

Image may be NSFW.
Clik here to view.

Verilog HDL Program for the function f=x>>3 + x

Verilog HDL Program for the function f=x>>3 + x 1 2 3 4 5 6 7 8 9 10 11 12 module sftsum(f,x); output [3:0]f; input [3:0]x; reg [3:0]f; reg [3:0]a,b; always @ (x) begin a=x>>3;...

View Article


Image may be NSFW.
Clik here to view.

Verilog HDL Program for Random Number Generator

Verilog HDL Program for Random Number Generator. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 module tff(q,t,c); output q; input...

View Article


Image may be NSFW.
Clik here to view.

Verilog HDL Program for detecting whether a given number is Prime or not

Verilog HDL Program for detecting whether a given number is Prime or not. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 module primenum3(o,i); output o; input [10:0]i; integer k; reg o; always...

View Article
Browsing latest articles
Browse All 10 View Live




Latest Images